必威体育Betway必威体育官网
当前位置:首页 > IT技术

T触发器

时间:2019-07-21 06:10:00来源:IT技术作者:seo实验室小编阅读:79次「手机版」
 

t触发器

module cy4(input T,
           input clk,
           input rst_n,
           output reg Q
        );
always @(posedge clk or negedge rst_n)
  if(!rst_n) Q <= 1'b0;
  else if(T == 1) Q <= ~Q;
  else if(T == 0) Q <= Q;
  else;
endmodule

这里写图片描述

测试脚本代码

`timescale 1 ns/ 1 ps

module cy4_vlg_tst();

reg T;

reg clk;

reg rst_n;

wire Q;

cy4 i1 (

.Q(Q),

.T(T),

.clk(clk),

.rst_n(rst_n)

);

initial

begin

clk = 0;

rst_n = 0;

10;

rst_n = 1;

T = 0;

10;

T = 1;

10;

stop;" role="presentation">stop;display(“Running testbench”);

end

always #20 clk = ~clk;

endmodule

相关阅读

【mysql基础系列十八】触发器

基本概念触发器(trigger)是一种特殊类型的存储过程。它主要是通过事件进行触发而被执行的,而存储过程可以通过存储过程名字而被直接

MySQL 高级(事务+索引+视图+触发器+存储过程)

目录 事务 索引 1.创建索引 2.删除索引 3.查看索引 4.索引准则 视图 触发器 存储过程 1.创建存储过程 2.调用存储过程 3.查看存储

ORACLE触发器(trigger)的使用

1、触发器说明触发器是一种在事件发生时隐式地自动执行的PL/SQL块,不能接受参数,不能被显式调用2、触发器类型根据触发器所创建的语

spring Quartz 源码分析--触发器类CronTriggerBean源

前面我们讲到了Quartz框架在项目中的实现,在Quartz中的重要API有两个重要的触发器类:CronTrigger 和SimpleTrigger 在Quartz框

分享到:

栏目导航

推荐阅读

热门阅读